summaryrefslogtreecommitdiffstats
path: root/drivers/clk
AgeCommit message (Expand)Author
2022-05-25clk: at91: generated: consider range when calculating best rateCodrin Ciubotariu
2022-05-09clk: sunxi: sun9i-mmc: check return value after calling platform_get_resource()Yang Yingliang
2022-04-15clk: Enforce that disjoints limits are invalidMaxime Ripard
2022-04-15clk: si5341: fix reported clk_rate when output divider is 2Adam Wujek
2022-04-15clk: Initialize orphan req_rateMaxime Ripard
2022-04-15clk: qcom: gcc-msm8994: Fix gpll4 widthKonrad Dybcio
2022-04-15clk: tegra: tegra124-emc: Fix missing put_device() call in emc_ensure_emc_driverMiaoqian Lin
2022-04-15clk: clps711x: Terminate clk_div_table with sentinel elementJonathan Neuschäfer
2022-04-15clk: loongson1: Terminate clk_div_table with sentinel elementJonathan Neuschäfer
2022-04-15clk: actions: Terminate clk_div_table with sentinel elementJonathan Neuschäfer
2022-04-15clk: qcom: clk-rcg2: Update the frac table for pixel clockTaniya Das
2022-04-15clk: qcom: clk-rcg2: Update logic to calculate D value for RCGTaniya Das
2022-04-15clk: imx7d: Remove audio_mclk_root_clkAbel Vesa
2022-04-15clk: qcom: ipq8074: Use floor ops for SDCC1 clockDirk Buchwalder
2022-04-15clk: uniphier: Fix fixed-rate initializationKunihiko Hayashi
2022-03-16clk: qcom: gdsc: Add support to update GDSC transition delayTaniya Das
2022-03-02clk: jz4725b: fix mmc0 clock gatingSiarhei Volkau
2022-01-27clk: si5341: Fix clock HW provider cleanupRobert Hancock
2022-01-27clk: meson: gxbb: Fix the SDM_EN bit for MPLL0 on GXBBMartin Blumenstingl
2022-01-27clk: stm32: Fix ltdc's clock turn off by clk_disable_unused() after system en...Dillon Min
2022-01-27clk: imx8mn: Fix imx8mn_clko1_selsAdam Ford
2022-01-27clk: bcm-2835: Remove rounding up the dividersMaxime Ripard
2022-01-27clk: bcm-2835: Pick the closest clock rateMaxime Ripard
2021-12-22clk: Don't parent clks until the parent is fully registeredMike Tipton
2021-12-14clk: qcom: regmap-mux: fix parent clock lookupDmitry Baryshkov
2021-11-26clk: qcom: gcc-msm8996: Drop (again) gcc_aggre1_pnoc_ahb_clkDmitry Baryshkov
2021-11-26clk/ast2600: Fix soc revision for AHBJoel Stanley
2021-11-26clk: ingenic: Fix bugs with divided dividersPaul Cercueil
2021-11-26clk: imx: imx6ul: Move csi_sel mux to correct base registerStefan Riedmueller
2021-11-17clk: at91: check pmc node status before registering syscore opsClément Léger
2021-11-17clk: mvebu: ap-cpu-clk: Fix a memory leak in error handling pathsChristophe JAILLET
2021-09-22clk: at91: clk-generated: Limit the requested rate to our rangeCodrin Ciubotariu
2021-09-22clk: at91: clk-generated: pass the id of changeable parent at registrationClaudiu Beznea
2021-09-22clk: at91: sam9x60: Don't use audio PLLCodrin Ciubotariu
2021-09-15clk: kirkwood: Fix a clocking boot regressionLinus Walleij
2021-08-12clk: fix leak on devm_clk_bulk_get_all() unwindBrian Norris
2021-08-12clk: stm32f4: fix post divisor setup for I2S/SAI PLLsDario Binacchi
2021-07-19clk: tegra: Ensure that PLLU configuration is applied properlyDmitry Osipenko
2021-07-19clk: renesas: r8a77995: Add ZA2 clockKuninori Morimoto
2021-07-14clk: si5341: Update initialization magicRobert Hancock
2021-07-14clk: si5341: Avoid divide errors due to bogus register contentsRobert Hancock
2021-07-14clk: actions: Fix bisp_factor_table based clocks on Owl S500 SoCCristian Ciocaltea
2021-07-14clk: actions: Fix SD clocks factor table on Owl S500 SoCCristian Ciocaltea
2021-07-14clk: actions: Fix UART clock dividers on Owl S500 SoCCristian Ciocaltea
2021-07-14clk: meson: g12a: fix gp0 and hifi rangesJerome Brunet
2021-06-23clocksource/drivers/timer-ti-dm: Handle dra7 timer wrap errata i940Tony Lindgren
2021-05-19clk: exynos7: Mark aclk_fsys1_200 as criticalPaweł Chmiel
2021-05-14clk: uniphier: Fix potential infinite loopColin Ian King
2021-05-14clk: qcom: a53-pll: Add missing MODULE_DEVICE_TABLEChen Hui
2021-05-14clk: zynqmp: move zynqmp_pll_set_mode out of round_rate callbackQuanyang Wang