aboutsummaryrefslogtreecommitdiffstats
path: root/arch/xtensa/lib/lshrdi3.S
blob: e432e1a4070281c2020b60c28480e6120e48a2aa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
/* SPDX-License-Identifier: GPL-2.0-or-later WITH GCC-exception-2.0 */
#include <linux/linkage.h>
#include <asm/asmmacro.h>
#include <asm/core.h>

#ifdef __XTENSA_EB__
#define uh a2
#define ul a3
#else
#define uh a3
#define ul a2
#endif /* __XTENSA_EB__ */

ENTRY(__lshrdi3)

	abi_entry_default
	ssr	a4
	bgei	a4, 32, .Lhigh_only
	src	ul, uh, ul
	srl	uh, uh
	abi_ret_default

.Lhigh_only:
	srl	ul, uh
	movi	uh, 0
	abi_ret_default

ENDPROC(__lshrdi3)
EXPORT_SYMBOL(__lshrdi3)