aboutsummaryrefslogtreecommitdiffstats
path: root/arch/x86/include/asm/cpuidle_haltpoll.h
blob: c8b39c6716ff1798ab3e1391722e9b4f99aab2aa (plain)
1
2
3
4
5
6
7
8
/* SPDX-License-Identifier: GPL-2.0 */
#ifndef _ARCH_HALTPOLL_H
#define _ARCH_HALTPOLL_H

void arch_haltpoll_enable(unsigned int cpu);
void arch_haltpoll_disable(unsigned int cpu);

#endif