aboutsummaryrefslogtreecommitdiffstats
path: root/include/linux/signal.h
AgeCommit message (Expand)Author
2018-11-13signal: Guard against negative signal numbers in copy_siginfo_from_user32Eric W. Biederman
2018-04-26signal: Extend siginfo_layout with SIL_FAULT_{MCEERR|BNDERR|PKUERR}Eric W. Biederman
2018-01-12signal: Remove unnecessary ifdefs now that there is only one struct siginfoEric W. Biederman
2018-01-12signal: Introduce clear_siginfoEric W. Biederman
2018-01-12signal: Reduce copy_siginfo to just a memcpyEric W. Biederman
2017-11-02License cleanup: add SPDX GPL-2.0 license identifier to files with no licenseGreg Kroah-Hartman
2017-07-24signal: Remove kernel interal si_code magicEric W. Biederman
2017-07-24fcntl: Don't use ambiguous SIG_POLL si_codesEric W. Biederman
2017-07-06Merge branch 'misc.compat' of git://git.kernel.org/pub/scm/linux/kernel/git/v...Linus Torvalds
2017-06-09rt_sigtimedwait(): move compat to nativeAl Viro
2017-06-04signal: Move copy_siginfo_to_user to <linux/signal.h>Christoph Hellwig
2017-06-04ia64: Remove HAVE_ARCH_COPY_SIGINFOChristoph Hellwig
2017-04-17signal: Remove unused definition of sig_user_definiedEric W. Biederman
2017-03-03signals: Move signal data types from <linux/signal.h> to <linux/signal_types.h>Ingo Molnar
2017-03-02signals: Prepare to split out <linux/signal_types.h> from <linux/signal.h>Ingo Molnar
2016-12-14signals: avoid unnecessary taking of sighand->siglockWaiman Long
2016-05-23signal: move the "sig < SIGRTMIN" check into siginmask(sig)Oleg Nesterov
2016-05-19Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linusLinus Torvalds
2016-05-13SIGNAL: Move generic copy_siginfo() to signal.hJames Hogan
2016-05-03signals/sigaltstack: Implement SS_AUTODISARM flagStas Sergeev
2015-11-20kernel/signal.c: unexport sigsuspend()Richard Weinberger
2014-10-14signal: use BUILD_BUG() instead of _NSIG_WORDS_is_unsupported_size()Oleg Nesterov
2014-08-06Rip out get_signal_to_deliver()Richard Weinberger
2014-08-06Clean up signal_delivered()Richard Weinberger
2014-06-06signals: introduce kernel_sigaction()Oleg Nesterov
2014-06-06signals: mv {dis,}allow_signal() from sched.h/exit.c to signal.[ch]Oleg Nesterov
2014-06-06signals: kill sigfindinword()Oleg Nesterov
2013-09-01Introduce [compat_]save_altstack_ex() to unbreak x86 SMAPAl Viro
2013-05-01Merge branch 'for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/vir...Linus Torvalds
2013-05-01proc: Move some bits from linux/proc_fs.h to linux/{of.h,signal.h,tty.h}David Howells
2013-03-19Fix breakage in MIPS siginfo handlingDavid Howells
2013-02-03new helper: signal_setup_done()Al Viro
2013-02-03new helper: get_signal()Al Viro
2013-02-03generic sys_sigaction() and compat_sys_sigaction()Al Viro
2013-02-03consolidate kernel-side struct sigaction declarationsAl Viro
2013-02-03consolidate declarations of k_sigactionAl Viro
2013-02-03switch rt_tgsigqueueinfo to COMPAT_SYSCALL_DEFINEAl Viro
2013-02-03generic compat_sys_rt_sigpending()Al Viro
2012-12-19new helpers: __save_altstack/__compat_save_altstack, switch x86 and um to thoseAl Viro
2012-12-19new helper: restore_altstack()Al Viro
2012-10-13UAPI: (Scripted) Disintegrate include/linuxDavid Howells
2012-06-01new helper: signal_delivered()Al Viro
2012-06-01most of set_current_blocked() callers want SIGKILL/SIGSTOP removed from setAl Viro
2012-05-21new helper: sigsuspend()Al Viro
2012-01-10signal: add block_sigmask() for adding sigmask to current->blockedMatt Fleming
2011-05-20Merge branch 'ptrace' of git://git.kernel.org/pub/scm/linux/kernel/git/oleg/miscLinus Torvalds
2011-05-19signal.h need a definition of struct task_structStephen Rothwell
2011-05-18signal: trivial, fix the "timespec declared inside parameter list" warningOleg Nesterov
2011-04-28signal: rename signandsets() to sigandnsets()Oleg Nesterov
2011-04-28signal: introduce do_sigtimedwait() to factor out compat/native codeOleg Nesterov